Luận án Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng

Ngày nay với sự phát triển nhanh của công nghệ thông tin và mạng Internet,

bảo mật dữ liệu thời gian thực truyền trên mạng IP đóng vai trò quan trọng trong

việc bảo vệ an toàn dữ liệu của các cá nhân và các tổ chức. Xã hội hiện nay ngày

càng phát triển, nhu cầu sử dụng các dịch vụ Internet càng lớn và không ngừng

được nâng cao để có thể đáp ứng được xu thế thời đại. Các dịch vụ thời gian thực

trên mạng Internet cũng ngày càng phát triển và thu hút được lượng lớn người sử

dụng ví dụ như các hệ thống truyền thông đa phương tiện, hệ thống dự phòng thảm

họa, và hệ thống phân tích dữ liệu khoa học. Đối mặt với sự phát triển này, việc

xâm phạm dưới các hình thức khác nhau có thể ảnh hưởng nặng nề tới các cá nhân

và tổ chức xã hội. Do vậy, nhu cầu an toàn an ninh cho dữ liệu thời gian thực

truyền trên mạng IP trở nên cấp thiết và đòi hỏi ở các mức độ cao hơn.

Tuy nhiên trên thực tế lại hình thành một mâu thuẫn giữa nhu cầu phát triển

các ứng dụng dịch vụ trên mạng IP với các nguy cơ an toàn về thông tin. Điều này

dễ dàng có thể hiểu được vì mạng IP là một mạng mở. Giải pháp hiệu quả nhất

nhằm bảo đảm sự an toàn thông tin trong các mạng này là sử dụng mật mã [3, 24].

Các giải pháp mật mã trong các mạng này luôn phải đảm bảo cả 3 yêu cầu đó là:

bảo mật dữ liệu, kiểm tra toàn vẹn dữ liệu và xác thực thông tin.

Song mật mã vẫn luôn là một vấn đề thời sự bởi tính ứng dụng của nó. Hiện

tại, các giải pháp bảo mật của công nghệ IP vẫn sử dụng các thuật toán mật mã

thông dụng dùng cho cả các ứng dụng phần mềm và phần cứng mà chưa có các

thuật toán mật mã phù hợp với các ứng dụng phần cứng chuyên dụng. Đó là

nguyên nhân tại sao các giải pháp mật mã khi tích hợp thường chưa tối ưu cho các

ứng dụng dữ liệu thời gian thực.

pdf 142 trang dienloan 4400
Bạn đang xem 20 trang mẫu của tài liệu "Luận án Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng", để tải tài liệu gốc về máy hãy click vào nút Download ở trên

Tóm tắt nội dung tài liệu: Luận án Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng

Luận án Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng
BỘ THÔNG TIN VÀ TRUYỀN THÔNG 
HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG 
PHẠM MẠNH TUẤN 
NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP 
BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN 
TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG 
CHUYÊN DỤNG 
LUẬN ÁN TIẾN SĨ KỸ THUẬT 
\ 
Hà Nội - Năm 2017 
BỘ THÔNG TIN VÀ TRUYỀN THÔNG 
HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG 
PHẠM MẠNH TUẤN 
NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP 
BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN 
TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG 
CHUYÊN DỤNG 
 Chuyên ngành : Kỹ thuật Điện tử 
 Mã số: 62.52.02.03 
LUẬN ÁN TIẾN SĨ KỸ THUẬT 
 NGƯỜI HƯỚNG DẪN KHOA HỌC: 
1. PGS.TS Lê Mỹ Tú 
2. TS. Vũ Tuấn Lâm 
Hà Nội - Năm 2017 
I 
LỜI CAM ĐOAN 
Tôi xin cam đoan các kết quả trình bày trong luận án là công trình nghiên 
cứu của tôi dưới sự hướng dẫn của các cán bộ hướng dẫn. Các số liệu, các kết quả 
trình bày trong luận án hoàn toàn trung thực và chưa được công bố trong các công 
trình trước đây. Các kết quả sử dụng tham khảo đều được trích dẫn đầy đủ và theo 
đúng quy định. 
Hà Nội, ngày tháng năm 2017 
Nghiên cứu sinh 
Đỗ Thị Bắc 
II 
LỜI CẢM ƠN 
Trong quá trình nghiên cứu và hoàn thành luận án, nghiên cứu sinh đã nhận 
được sự định hướng, giúp đỡ, các ý kiến đóng góp quý báu và những lời động viên 
của các nhà khoa học, các thầy cô giáo, đồng nghiệp và gia đình. 
Trước hết, nghiên cứu sinh xin bày tỏ lời cảm ơn tới các Thầy PGS.TS Lê 
Mỹ Tú, TS. Vũ Tuấn Lâm, PGS.TS Nguyễn Hiếu Minh đã tận tình hướng dẫn và 
giúp đỡ trong quá trình nghiên cứu. 
Cho phép nghiên cứu sinh chân thành cảm ơn các thầy cô giáo, các nhà khoa 
học của Học viện Kỹ thuật Mật mã, Học viện Công nghệ Bưu chính-Viễn thông, 
Học viện Kỹ thuật Quân sự ... đã có các góp ý quý báu cho nghiên cứu sinh trong 
quá trình thực hiện luận án này. 
Nghiên cứu sinh chân thành cảm ơn Ban Giám đốc, Phòng Sau đại học đã tạo 
điều kiện thuận lợi để nghiên cứu sinh hoàn thành nhiệm vụ nghiên cứu. 
Cuối cùng nghiên cứu sinh bày tỏ lời cảm ơn tới các đồng nghiệp, gia đình, 
bạn bè đã luôn động viên, chia sẻ, ủng hộ và giúp đỡ nghiên cứu sinh vượt qua khó 
khăn để đạt được những kết quả nghiên cứu trong luận án này. 
Nghiên cứu sinh 
III 
MỤC LỤC 
LỜI CAM ĐOAN .................................................................................................. I 
LỜI CẢM ƠN ....................................................................................................... II 
MỤC LỤC ........................................................................................................... III 
DANH MỤC CÁC KÍ HIỆU ............................................................................... IX 
DANH MỤC CÁC BẢNG BIỂU ......................................................................... X 
DANH MỤC CÁC HÌNH VẼ ........................................................................... XII 
MỞ ĐẦU ........................................................................................................... XIV 
Tính cấp thiết của đề tài nghiên cứu ................................................................... XIV 
Mục đích nghiên cứu ........................................................................................... XV 
Nhiệm vụ nghiên cứu .......................................................................................... XV 
Đối tượng và phạm vi nghiên cứu ....................................................................... XVI 
Phương pháp nghiên cứu .................................................................................... XVI 
Ý nghĩa lý luận và thực tiễn của luận án ............................................................. XVI 
Bố cục của luận án ............................................................................................. XVII 
CHƯƠNG 1. TỔNG QUAN VỀ GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI 
GIAN THỰC TRÊN MẠNG IP ............................................................................ 1 
1.1. Giới thiệu.......................................................................................................... 1 
1.2. Tổng quan về một số giao thức bảo mật dữ liệu thời gian thực.......................... 3 
1.2.1. Giao thức bảo mật truyền thời gian thực SRTP .............................. 3 
1.2.2. Giao thức bảo mật IPSec ................................................................ 3 
1.3. Tổng quan về tình hình nghiên cứu thuật toán mật mã khối .............................. 5 
1.3.1. Tổng quan tình hình nghiên cứu ngoài nước .................................. 5 
1.3.2. Tổng quan tình hình nghiên cứu trong nước ................................... 8 
1.4. Hướng nghiên cứu của luận án .......................................................................... 9 
1.5. Một số cơ sở lý thuyết trong phát triển thuật toán mật mã khối ......................... 9 
1.5.1. Hàm logic (hàm boole) ................................................................... 9 
1.5.2. Mật mã khối ................................................................................. 10 
1.6. Nguyên lý thiết kế mạng chuyển vị thay thế điều khiển được (CSPN) ......... 15 
1.6.1. Lớp phần tử nguyên thủy mật mã điều khiển được P2/1 ................. 15 
IV 
1.6.2. Lớp phần tử nguyên thủy mật mã điều khiển được F2/1 ................. 19 
1.6.3. Lớp phần tử nguyên thủy mật mã điều khiển được F2/2 ................. 21 
1.6.4. Kiến trúc mạng chuyển vị-thay thế điều khiển được Fn/m .............. 23 
1.7. Kết luận chương ............................................................................................. 25 
CHƯƠNG 2. CẢI TIẾN THUẬT TOÁN MẬT MÃ KHỐI SPECTR-128 
DÙNG CHO BẢO MẬT DỮ LIỆU THỜI GIAN THỰC .................................. 27 
2.1. Mô tả thuật toán mật mã khối SPECTR-128 ................................................... 27 
2.1.1. Đặc điểm thiết kế .......................................................................... 27 
2.1.2. Mô tả chung thuật toán ................................................................. 28 
2.1.3. Lược đồ khóa ................................................................................ 30 
2.1.4. Biến đổi khởi đầu và kết thúc ........................................................ 31 
2.1.5. Vòng mã hóa Crypt ....................................................................... 32 
2.1.6. Hàm phi tuyến G .......................................................................... 33 
2.1.7. CP P64/192 và P
 1
64/192 .................................................................... 35 
2.1.8. Khối mở rộng E ............................................................................ 37 
2.2. Phân tích độ an toàn của thuật toán SPECTR-128 ........................................... 38 
2.2.1. Đánh giá độ an toàn đối với thám mã lượng sai ........................... 38 
2.2.2. Đánh giá độ an toàn đối với thám mã tuyến tính .......................... 46 
2.3. Cải tiến thuật toán mật mã khối SPECTR-128 ................................................ 50 
2.4. Đánh giá hiệu quả của thuật toán cải tiến trên FPGA ...................................... 53 
2.5. Kết luận chương ............................................................................................. 54 
CHƯƠNG 3. XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI DỰA 
TRÊN CÁC LỚP NGUYÊN THỦY MẬT MÃ F2/1 VÀ F2/2 .............................. 55 
3.1. Phát triển họ thuật toán mật mã khối tốc độ cao .............................................. 55 
3.1.1. Họ thuật toán dựa trên CE F2/1 ..................................................... 56 
3.1.2. Họ thuật toán dựa trên CE F2/2 ..................................................... 68 
3.2. Phân tích độ an toàn của các thuật toán đề xuất ............................................... 70 
3.2.1. Phân tích độ an toàn của thuật toán dựa trên F2/1 ........................ 70 
3.2.2. Phân tích độ an toàn của thuật toán dựa trên F2/2 ........................ 75 
V 
3.3. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA ................ 78 
3.3.1. Hiệu quả của thuật toán dựa trên F2/1 ........................................... 79 
3.3.2. Hiệu quả của thuật toán dựa trên F2/2 ........................................... 79 
3.4. Kết luận chương ............................................................................................. 81 
CHƯƠNG 4. PHÁT TRIỂN NGUYÊN THỦY MẬT MÃ F2/4VÀ XÂY DỰNG 
MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI ....................................................... 82 
4.1. Phát triển lớp nguyên thủy mật mã F2/4 ........................................................... 82 
4.1.1. Xây dựng các CE F2/4 ................................................................... 82 
4.1.2. Phân tích các đặc tính mật mã của các CE F2/4 ............................ 86 
4.1.3. Xây dựng kiến trúc CSPN dựa trên CE F2/4 .................................. 89 
4.2. Phát triển một số thuật toán mật mã khối tốc độ cao ....................................... 95 
4.2.1. Tiêu chí thiết kế ............................................................................ 95 
4.2.2. Mô tả thuật toán ........................................................................... 95 
4.2.3. Thiết kế lược đồ khóa ................................................................. 100 
4.3. Phân tích độ an toàn của các thuật toán đề xuất ............................................. 101 
4.3.1. Đánh giá các tiêu chuẩn thống kê ............................................... 101 
4.3.2. Đánh giá độ an toàn đối với thám mã lượng sai ......................... 102 
4.4. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA .............. 105 
4.5. Kết luận chương ........................................................................................... 106 
KẾT LUẬN ........................................................................................................ 107 
DANH MỤC CÁC CÔNG TRÌNH ĐÃ CÔNG BỐ .............................................. i 
DANH MỤC TÀI LIỆU THAM KHẢO .............................................................. ii 
PHỤ LỤC .............................................................................................................. ix 
A. Đánh giá đặc trưng thống kê theo tiêu chuẩn NESSIE ....................................... ix 
B. Mô hình tích hợp mật mã khối trên FPGA ........................................................ xii 
VI 
DANH MỤC CÁC CHỮ VIẾT TẮT 
Chữ tắt Nghĩa tiếng Anh Nghĩa tiếng Việt 
AES Advanced Encryption Standard Chuẩn mã hóa tiên tiến 
ASIC 
Application Specific Integrated 
Circuit 
Vi mạch tích hợp chuyên 
dụng 
CBC Cipher Block Chaining Chế độ mã xích khối 
CO Controlled Operator Toán tử điều khiển được 
COS 
Controlled Operational 
Substitution 
Thay thế điều khiển được 
CE Controlled Element Phần tử điều khiển được 
CFB Cipher FeedBack Chế độ mã phản hồi 
CLB Configurable Logic Block Khối logic lập trình được 
CP Controlled Permutation Hoán vị điều khiển được 
CTPO 
data-dependent Controlled 
Two-Place Operation 
Toán tử hai vị trí điều khiển 
được phụ thuộc dữ liệu 
CTR Counter mode Chế độ mã đếm 
CSPN 
Controlled Substitution 
Permutation Network 
Mạng chuyển vị thay thế điều 
khiển được 
DA Differential Attack Tấn công vi sai 
DC Differential Characteristic Đặc trưng vi sai 
DCA Differential CryptAnalysis Thám mã lượng sai 
DDO Data Dependent Operation Toán tử phụ thuộc dữ liệu 
DDP Data Dependent Permutation Hoán vị phụ thuộc dữ liệu 
DDR Data Dependent Rotation Phép quay phụ thuộc dữ liệu 
DES Data Encryption Standard Tiêu chuẩn mã hóa dữ liệu 
ECB Electronic Code Book Chế độ mã sách điện tử 
FPGA 
Field Programmable Gate 
Array 
Mảng cổng lập trình được 
dạng trường 
FT Final Transformation Biến đổi cuối 
HMAC-
SHA1 
Hash-based Message 
Authentication Code- Secure 
Hash Algorithm 1 
Mã xác thực bản tin dựa trên 
hàm băm - Thuật toán băm an 
toàn 1 
IETF Internet Engineering Task Nhóm đặc trách kỹ thuật 
VII 
Force Internet 
IKS Internal Key Scheduling Lược đồ sinh khóa nội vi 
IL Iterative Looping Chế độ lặp cơ sở 
IT Initial Transformation Biến đổi đầu 
KTTĐKĐ 
Khối thay thế điều khiển 
được 
LA Linear Attack Tấn công tuyến tính 
LC Linear Characteristics Đặc trưng tuyến tính 
LCA Linear CryptAnalysis Thám mã tuyến tính 
NIST 
National Institute of Standards 
and Technology 
Viện Tiêu chuẩn và Công 
nghệ quốc gia Hoa kỳ 
NESSIE 
NewEuropean Schemes for 
Signatures, Integrity and 
Encryption 
Chuẩn Châu Âu cho Chữ ký 
số, Tính toàn vẹn và Mã hóa 
NL Non Linearity Phi tuyến 
OFB Output FeedBack Chế độ mã phản hồi đầu ra 
PE Primitive Element Phần tử nguyên thủy mật mã 
PP Pipeline Chế độ đường ống toàn phần 
QoS Quality of Service Chất lượng dịch vụ 
RTP Realtime Transport Protocol 
Giao thức truyền dữ liệu thời 
gian thực 
RTCP RTP Control Protocol 
Giao thức điều khiển giao 
thức truyền thời gian thực 
SAC Strict Avalanche Criteria Tiêu chuẩn thác lũ chặt 
SDDO 
Switchable Data Dependent 
Operation 
Toán tử phụ thuộc dữ liệu 
chuyển mạch 
SDDP 
Switchable Data Driven 
Permutation 
Hoán vị phụ thuộc dữ liệu 
chuyển mạch 
SPN 
Substitution Permutation 
Network 
Mạng hoán vị thay thế 
SRTP 
Secure Real-time Transport 
Protocol 
Giao thức bảo mật truyền 
thời gian thực 
TCP Transmission Control Protocol 
Giao thức điều khiển truyền 
vận 
VIII 
TLS Transport Layer Security Bảo mật lớp vận chuyển 
TMN Thuật toán phát triển 
UDP User Datagram Protocol 
Giao thức gói dữ liệu người 
dùng 
VoIP Voice over Internet Protocol Thoại trên giao thức internet 
XSL eXtended Sparse Linearization Tuyến tính thưa thớt mở rộng 
IX 
DANH MỤC CÁC KÍ HIỆU 
1. {0, 1} là tập các véc tơ nhị phân  chiều  = (,   , ), ∀ = 1. . ,
 ∈ {0, 1}. 
2. () = ∑ 

 là khoảng cách Hamming của véc tơ nhị phân U. 
3. Fn/m: phần tử điều khiển được với n bit dữ liệu vào và m bit điều khiển. 
4. /
 : phần tử nghịch đảo của phần tử Fn/m. 
5. /
()
: toán tử điều khiển chuyển mạch (e là bit chuyển mạch) của Pn/m. 
6. /
(,)
: toán tử SDDO với e là bit điều khiển chuyển mạch, L là dữ liệu vào 
của SDDO, Fn/m là phần tử điều khiển được. 
7. L<<<n: phép dịch L sang trái n bit. 
8. P = (∆
 ∆
 , ∆
): xác xuất đầu ra có vi sai là ∆
 với điều kiện vi sai 
đầu vào là ∆
 và vi sai của véc tơ điều khiển là ∆
, trong đó:(∆) =
; (∆) = ; 	(∆) = . 
9. () ≝ max∆ (∆
 ∆
 , ∆
): là hàm xác xuất vi sai lớn nhất khi 
(∆) =  
X 
DANH MỤC CÁC BẢNG BIỂU 
 Bảng 2.1. Mô tả các khóa con cho các vòng mã hóa........................................... 31 
Bảng 2.2. Phân bố của các bit của véctơ U.......................................................... 38 
Bảng 2.3. Các giá trị của xác suất 
64 192( )R R Lq g qP
 
   
P
.......................... 
39 
Bảng 2.4. Thay đổi các bit đầu ra gây ra bởi sự thay đổi ... iu, “Related-key rectangle attack on 
MD-64”, JEIT, 2015, 37(12): 2845-2851. 
[26].Jie Wu (2006), “Handbook on Theoretical and Algorithmic Aspects of Sensor, 
Ad Hoc Wireless and Peer-to-Peer Networks”, Auerbach Publications 
Talor & Francis Group, New York. 
4-v 
[27].J. Kelsey, B. Schneier, D. Wagner, Related-Key Cryptanalysis of 3-WAY, 
Biham-DES, CAST, DES-X, NewDES, RC2, and TEA, in the proceedings of 
ICICS 1997, Lecture Notes in Computer Sciences, vol 1334, pp 233-246, 
Bejing, China, November 1997, Springer-Verlag. 
[28].Kang, Jinkeon; Jeong, Kitae; Hong, Seokhie; Lee, Changhoon (2013), 
“Related-Key Amplified Boomerang Attacks on KT-64 and MD-64 Suitable for 
Wireless Sensor Networks”, Sensor Letters, 11(9), 1765-1770. 
[29].Kitae Jeong, Changhoon Lee1, Jaechul Sung, SeokhieHong and Jongin Lim 
(2007), “Related-Key Amplified Boomerang Attacks onthe Full-Round Eagle-64 
and Eagle-128”, In Proc. of ACISP 12, Townsville, Australia, Springer-Verlag 
Berlin Heidelberg, (4586), 143-157. 
[30].K.H. Leung, K.W.Ma, W.K.Wong, and P.H.W. Leong (2000), “FPGA 
implementation of amicrocoded ellipticcurve cryptographic processor”, Proc. 
Field-Programmable Custom Computing Machines. 
[31].Kaur A, Bhardwaj P and Naveen Kumar, “FPGA Implementation of Efficient 
Hardware for the Advanced Encryption Standard” , (IJITEE) ISSN: 2278-3075, 
Volume-2, Issue-3, February 2013. [37] 
[32].L.Wu, Yun Niu and X. Zhang, “An IPSec Accelerator Design for a 10Gbps 
InLine Security Network Processor”, Journal Of Computers, Vol. 8, No. 2, 
February 2013; pp 319-325. [40] 
[33].Moldovyan, A. A., “Fast Block Ciphers Based on Controlled Permutations” 
Computer Science Journal of Moldova, 2000, vol. 8, No. 3, pp. 270–283. 
[34].Moldovyan, A. A., and N. A. Moldovyan, “A Cipher Based on Data-
Dependent Permutations” Journal of Cryptology, 2002, vol. 15, pp. 61–72. 
[35].Moldovyan, A.A., Moldovyan, N.A., and Sklavos, N. (2006), “Controlled 
elements for designing ciphers suitable to efficient VLSI implementation”, 
Telecommunication Systems, 32, 149–163. 
[36].N. Moldovyan and A. Moldovyan, “Innovative Cryptography”, Charles River 
Media, 2007. 
4-vi 
[37].N. Moldovyan and A. Moldovyan., “Data-driven block ciphers for fast 
telecommunication systems”, Auerbach Publications, 2008. 
[38].N.D. Goots, B.V. Izotov, A.A. Moldovyan, and N.A. Moldovyan (2003), 
Modern cryptography: protect your data with fast block ciphers, A-LIST 
Publishing, Wayne, (www.alistpublishing.com). 
[39].N. Minh, N. Luan and L. Dung (2010), “KT-64: A New Block Cipher Suitable 
to Efficient FPGA Implementation”, IJCSNS, 19(1), 10-18. 
[40].Nguyen Hieu Minh, Do Thi Bac, Ho Ngoc Duy (2010), “New SDDO-Based 
Block Cipher for Wireless Sensor Network Security”, International Journal of 
Computer Science and Network Security, pp.54-60, VOL.10 No.3. 
[41].“NESSIE. New European Schemes for Signatures, Integrity, and Encryption”, 
https://www.cosic.esat.kuleuven.ac.be/nessie/ 
[42].N. Sklavos and O. Koufopavlou (2002), “Architectures and VLSI 
implementations of the AES-ProposalRijndael”, IEEE Trans. Computers, 
51(12), 1454–1459. 
[43].N. Sklavos, A. A. Moldovyan and O. Koufopavlou (2003), “Encryption and 
Data Dependent Permutations: Implementation Cost and Performance 
Evaluation”, Springer-Verlag LNCS, (2776),337-348. 
[44].N. Sklavos and O. Koufopavlou (2001), “Asynchronous low power VLSI 
implementation of the InternationalData Encryption Algorithm”, Proc. 8th IEEE 
Int. Conf. Electronics, Circuits and Systems (ICECS’01),III, 1425–1428. 
[45].P. Barreto, V. Rijmen, The KHAZAD Legacy-Level Block Cipher, Submission 
to the NESSIE project, available from 
[46].Shylashree.N, Nagarjun Bhat and V. Shridhar, “FPGA Implementations of 
Advanced Encryption Standard, A SURVEY” , International Journal of 
Advances in Engineering & Technology, May 2012. [58] 
[47].Ross Anderson, Eli Biham and Lars Knudsen, “Serpent: A Proposal for the 
Advanced Encryption Standard”,  
4-vii 
[48].Sklavos N., Moldovyan N.A., and Koufopavlou, O. (2005), “High speed 
networking security: Design and implementation of two new DDP-based 
ciphers”, Mobile Networksand Applications (10), 219–231. 
[49].Saqib N.A., Francisco Rodriguez-Henriquez, , A. Diaz-Perez, Cetin Kaya 
(2007), Cryptographic Algorithms on Reconfigurable Hardware, Springer. 
[50].Waksman, A., “A Permutation Network” Journal of the ACM. 1968, vol. 15, 
No.1, pp. 159–163. 
[51].Y.Ko, D.Hong, S.Hong, S.Lee, J.Lim, “Linear Cryptanalysis on SPECTR-H64 
with Higher Order Differential Property”, International Workshop, Methods, 
Models, and Architectures for Network Security Proc. LNCS, vol. 2776 (2003), 
pp. 298-307. 
[52].Y.Ko, D.Hong, S.Hong, S.Lee, J.Lim, “Linear Cryptanalysis on SPECTR-H64 
with Higher Order Differential Property”, International Workshop, Methods, 
Models, and Architectures for Network Security Proc. LNCS, vol. 2776 (2003), 
pp. 298-307. 
[53].Y. Ko, C. Lee, S. Hong and S. Lee (2004), “Related Key Differential 
Cryptanalysis of Full-Round SPECTR-H64 and CIKS-1”, ACISP’04, LNCS 
3108, Springer-Verlag,137-148. 
[54].Y. Ko, C. Lee, S. Hong, J. Sung and S. Lee (2004), Related-KeyAttacks on 
DDP based Ciphers: CIKS-128 and CIKS-128H”, Indocrypt’04, LNCS 3348, 
Springer-Verlag, 191-205. 
[55].Y. Ko, C. Lee, S. Hong, J. Sung and S. Lee (2004), Related-KeyAttacks on 
DDP based Ciphers: CIKS-128 and CIKS-128H”, Indocrypt’04, LNCS 3348, 
Springer-Verlag, 191-205. 
[56].Y.-K. Lai, L.-G. Chen, J.-Y. Lai, and T.-M. Parng (2002), “VLSI architecture 
design and implementation for Twofish block cipher", Proc. IEEE Int. Symp. on 
Circuits & Systems. 
[57]. 
[58].https://www.ietf.org/rfc/rfc3711.txt 
4-viii 
[59].https://tools.ietf.org/html/rfc3962 
[60].https://www.ietf.org/rfc/rfc2104.txt 
[61].https://www.ietf.org/rfc/rfc3550.txt 
[62].https://www.ietf.org/rfc/rfc768.txt 
4-ix 
PHỤ LỤC 
A. Đánh giá đặc trưng thống kê theo tiêu chuẩn NESSIE 
Đối với bất kỳ thuật toán mật mã nào, để có thể đưa vào sử dụng trong thực tiễn, 
chúng cần phải chứng minh được sự bền vững trước các tấn công đã biết (và có thể 
với các tấn công trong tương lai). Vì vậy sau khi thiết kế một thuật toán, cần phải có 
các đánh giá thử nghiệm với các kiểu tấn công có thể. 
Với các thuật toán mật mã khối, các toán tử chuyển vị và thay thế đảm bảo hai tính 
chất quan trọng: tính chất hỗn loạn (confusion) và tính chất khuếch tán (diffusion), 
trong đó các hộp S sẽ tạo ra sự hỗn loạn, còn các hộp P tạo ra sự khuếch tán. Sự kết 
hợp của các tính chất này sẽ đảm bảo tăng độ bền vững của các thuật toán mã khối 
– tạo ra hiệu ứng thác (avanlache characteristic). Với các hộp S nếu sử dụng n bit 
vào, thì sau hộp S các khả năng biến đổi sẽ là 2n! (tăng rất nhanh), còn sau hộp P 
các khả năng biến đổi sẽ là n! (tăng chậm hơn và vì thế sẽ đảm bảo sự an toàn kém 
hơn hộp S). Thực tế các toán tử thay thế dùng để xây dựng các sơ đồ mã sẽ ảnh 
hưởng rất lớn đến sự bền vững của sơ đồ mã, và kích cỡ của các hộp S sẽ ảnh hưởng 
đến độ phức tạp khi thực hiện các sơ đồ mã. 
Để đánh giá tính chất thống kê của thuật toán mật mã khối, sẽ sử dụng các tiêu 
chuẩn đưa ra bởi NESSIE (New European Schemes for Signatures, Integrity and 
Encryption) [37].Theo NESSIE, các đặc trưng thống kê của thuật toán mật mã khối 
cần được đánh giá theo các tiêu chuẩn sau: 
Tiêu chuẩn 1. Số lượng trung bình các bit đầu ra thay đổi khi thay đổi một bit đầu 
vào (kí hiệu dl). 
Tiêu chuẩn 2. Mức độ biến đổi hoàn toàn (kí hiệu dc). 
Tiêu chuẩn 3. Mức độ của hiệu ứng thác lũ (kí hiệu da). 
Tiêu chuẩn 4. Mức độ phù hợp về hiệu ứng thác lũ chặt (kí hiệu dsa). 
Đồng thời, với mã khối xây dựng trên cơ sở CSPN thì vấn đề quan trọng nhất là 
phải đánh giá được sự ảnh hưởng của bit khóa và bit dữ liệu đầu vào đến sự biến đổi 
bit dữ liệu ở đầu ra. 
4-x 
Để rõ hơn về các tiêu chuẩn, sử dụng công cụ toán học minh họa cho các đặc trưng 
thống kê trên. 
Kí hiệu: u = (u1, u2, , un) {0,1}
n là một véc tơ nhị phân, kí hiệu u(i) {0,1}n là 
véctơ nhị phân nhận được bằng cách đảo ngược bit thứ i của u (với i = 1.. n). 
Khi đó véc tơ nhị phân y = f(u(i)) f(u) được gọi là véc tơ thác lũ theo thành phần i. 
khoảng cách Hamming của véctơ u (kí hiệu w(u)) được xác định là số lượng các 
thành phần khác không của u. 
Hàm f: {0,1}n {0,1}m là hàm biến đổi n bit đầu vào thành m bit đầu ra. Hàm này 
được coi là có mức độ biến đổi hoàn toàn tốt, nếu mỗi bit đầu ra đều phụ thuộc mỗi 
bit đầu vào, tức là: 
i = 1, 2, , n, j = 1, 2, , m, u {0,1}n với (f(u(i)))j (f(u))j. (A.1) 
Hàm f: {0,1}n {0,1}m được coi là có hiệu ứng thác lũ tốt, nếu trung bình có ½ số 
bit đầu ra thay đổi mỗi khi có một bit đầu vào thay đổi, tức là: 
2
))()((
2
1
}1,0{
)( mufufw
nu
i
n
 
 với i = 1.. n. (A.2) 
Hàm f: {0,1}n {0,1}m phù hợp theo tiêu chuẩn thác lũ chặt, nếu mỗi bit đầu ra 
thay đổi với xác suất bằng ½ khi có một bit đầu vào thay đổi, tức là: 
i = 1 .. n và j = 1.. m: Pr((f(u(i)))j (f(u))j) = ½. (A.3) 
Ma trận phụ thuộc của hàm f: {0,1}n {0,1}m là ma trận A bậc n m của các phần 
tử aij, nó thể hiện sự phụ thuộc của bit thứ j của véc tơ đầu ra vào bit thứ i của véc 
tơ đầu vào, nói cách khác nếu bit đầu vào thứ i thay đổi, kết quả sẽ làm thay đổi bit 
thứ j đầu ra, tức là: 
aij =  u {0,1}
n| (f(u(i))j ≠ f(u))j)} với i = 1..n và j = 1..m(A.4) 
Ma trận khoảng cách của hàm f: {0,1}n {0,1}m là ma trận B bậc n (m + 1) của 
các phần tử bij, bij là trọng số của véctơ thác lũ, tức là: 
bij =  u {0,1}
n|w(f(u(i)) – f(u)) = j }với i = 1..n; j = 1..m (A.5) 
Với U là tập con của {0,1}nđược chọn một cách ngẫu nhiên thì: 
aij =  u U jj
i ufuf ))()(( )( với i = 1..n và j = 1..m (A.6) 
4-xi 
bij =  u U jufufw
i ))()(( )( với i = 1..n và j = 1..m (A.7) 
Để đánh giá sự ảnh hưởng của khoá và dữ liệu đầu vào tới sự biến đổi của dữ liệu ở 
đầu ra, xét: U = X||K (X - bản rõ, K – khoá). Trong trường hợp xét ảnh hưởng của 
dữ liệu đầu vào tới sự biến đổi của dữ liệu đầu ra: 
aij =  X jj
i KXfKXf )),()),(( )( với i = 1..n và j = 1..m (A.8) 
bij =  X jKXfKXfw
i  )),(),(( )(  với i = 1..n và j = 1..m(A.9) 
 Còn trường hợp cần xét ảnh hưởng của khoá tới sự biến đổi của dữ liệu đầu ra sử 
dụng cách tính sau: 
aij =  X jj
i KXfKXf )),()),(( )(  với i = 1..n và j = 1.. m (A.10) 
bij =  X jKXfKXfw
i  )),(),(( )(  với i = 1..n và j = 1..m(A.11) 
Việc xét sự ảnh hưởng của khóa tới sự biến đổi đầu ra rất có ý nghĩa trong trường 
hợp thuật toán chỉ sử dụng lược đồ khóa đơn giản mà không sử dụng phép sinh 
khóa phức tạp. 
Trong các khái niệm trên thì, ma trận phụ thuộc được sử dụng để đánh giá các đặc 
trưng thống kê theo tiêu chuẩn 2 và 4, còn ma trận khoảng cách được sử dụng để 
đánh giá các đặc trưng thống kê theo tiêu chuẩn 1 và 3. 
- Tiêu chuẩn 1 được xác định theo công thức: 


n
i
m
j ij
N
jb
n
d
1
1
1
1
- Tiêu chuẩn 2 được xác định theo công thức: 


n
i
m
j ij
N
jb
n
d
1
1
1
1
- Tiêu chuẩn 3 được xác định theo công thức: 
mn
mjb
N
d
n
i
m
j ij
a
  
1 1
2
1
1 
- Tiêu chuẩn 4 được xác định theo công thức: 
(A.12) 
(A.13
(A.14
4-xii 
mn
N
a
d
n
i
m
j
ij
sa
  
1 1
1
2
1 
Trong các công thức trên N = #U gọi là bậc của tập U và được xác định là số lượng 
phần tử trong tập U. 
Theo tiêu chuẩn NESSIE, hàm f được coi là có các đặc tính biến đổi tốt khi các điều 
kiện sau xảy ra: dc = 1, da 1, dsa 1 và d1 ½ n. 
B. Mô hình tích hợp mật mã khối trên FPGA 
Trong phụ lục này sẽ mô tả mô cấu trúc thường được sử dụng để thực hiện các thuật 
toán mật mã khối trên FPGA. 
1. Cấu trúc lặp cơ sở 
Với cấu trúc lặp cơ sở (IL-Iterative Looping) chỉ thiết kế một vòng và thuật toán 
mật mã khối được thực hiện lặp lại R chu kỳ (R là số vòng mã/ giải mã của thuật 
toán) (hình B.1a). Việc thiết kế theo cấu trúc này sẽ có chi phí về tài nguyên thấp 
nhưng sẽ tốn nhiều xung nhịp thời gian hơn và có tốc độ mã/giải mã thấp. 
2. Cấu trúc vòng lặp toàn phần 
Cấu trúc vòng lặp toàn phần (FP – Full Pipeline) (hình B.1b). Thiết kế này cho phép 
thực hiện thuật toán với tốc độ cao hơn so với cấu trúc IL nhưng chi phí về tài 
nguyên sẽ lớn hơn. 
(A.15) 
4-xiii 
Hình B.1. Cấu trúc thiết kế mật mã khối trên FPGA, (a) Cấu trúc vòng lặp cơ sở (IL), (b) Cấu 
trúc vòng lặp toàn phần (FP) 
Cả hai cấu trúc IL và cấu trúc FP đều được tối ưu hóa để thực hiện các thuật toán 
mật mã khóa bí mật. 
3. Các thông số và mô hình đánh giá 
a. Thông lượng 
Thông lượng (Throughput) là yếu tố quan trọng để tính toán hiệu suất hoạt động 
của các thiết kế[15]. Thông lượng được xác định theo công thức: 
Thông lượng = 
ầ	ố	×	ố	
ố		ỳ
 (bit/s) (B.1) 
Thông lượng càng lớn thì hiệu quả của thuật toán càng cao. 
b. Tài nguyên 
Thông thường chi phí về tài nguyên (Resource) của mỗi thiết kế trên FPGA được 
xác định thông qua các chi phí theo một trong các thông số sau: số lượng slices; số 
lượng flip flop; số CLB (Configurable Logic Block); số lượng LUT (LUT: Look-
Up Table); số lượng IOB (Input/Output Block); số lượng Block Select RAMs 
(BRAMs). Sự so sánh lý tưởng sẽ là so sánh về toàn bộ các nguồn tài nguyên trên 
và cùng được thiết kế trên các dòng thiết bị FPGA tương tự. 
4-xiv 
Người ta cho rằng thiết kế có hiệu quả nhất là thiết kế mà có được tốc độ cao nhất 
(chỉ quan tâm đến lưu lượng thông tin) dù cho đó là loại thiết bị nào đã được dùng 
để triển khai thiết kế. Tuy nhiên để đánh giá 1 thiết kế là hiệu quả (thiết kế được tối 
ưu hóa cho tài nguyên phần cứng) thì cần sử dụng thêm thông số chi phí về tài 
nguyên. 
Sự so sánh giữa hai thiết kế hiệu quả chỉ có thể có được nếu so sánh giữa những 
thiết bị giống nhau. 
Như vậy, cả tài nguyên và thông lượng đều giúp đánh giá hiệu quả của các thiết kế. 
Tuy nhiên, nhằm quyết định việc một thiết kế nào đó là hiệu quả hay không, cần 
xem xét thêm một số đánh giá khác như: thông lượng/tài nguyên, thông lượng/(tài 
nguyên * tần số). Sau đây sẽ mô tả thêm về 2 mô hình đánh giá hiệu quả này. 
c. Mô hình đánh giá 1 
Đây là mô hình thường được sử dụng để đánh giá hiệu quả thực hiện của thuật toán 
mật mã trên FPGA. Mô hình được đánh giá qua công thức sau: 
 =


 (B.2) 
trong đó T: thông lượng được tính theo công thức (1); R: chi phí về tài nguyên được 
xác định như mô tả trên; IE là hiệu quả thực hiện của thiết kế. 
d. Mô hình đánh giá 2 
Đây là mô hình đánh giá hiệu quả tích hợp được sử dụng trong trường hợp tích hợp 
nhiều chức năng khác nhau trên cùng một chip. Mô hình đánh giá này được đánh 
giá là khả dụng hơn và được xác định theo công thức 3. 
 =

	×
 (B.3) 
trong đó T: thông lượng được tính theo công thức (1); R: chi phí về tài nguyên được 
xác định như mô tả trên; F là tần số; IE là hiệu quả thực hiện của thiết kế. Thông 
thường T sử dụng đơn vị đo là Mb/s, R được tính thông qua số lượng CLB, còn tần 
số F sử dụng đơn vị đo là GHz. 

File đính kèm:

  • pdfluan_an_nghien_cuu_xay_dung_giai_phap_bao_mat_du_lieu_thoi_g.pdf
  • pdftom_tat_luan_an_Pham_Manh_Tuan.pdf
  • pdfTrang_thong_tin_tieng_anh NCS PM Tuấn.pdf
  • pdfTrang_thong_tin_tieng_viet NCS PM Tuấn.pdf