Luận án Xây dựng mô phỏng thời gian thực để kiểm chứng cấu trúc điều khiển và thuật toán cân bằng năng lượng cho MMC

Trong những năm gần đây BBĐ đa mức được coi như một giải pháp hiệu quả

cho các ứng dụng công suất lớn và điện áp cao. Các BBĐ đa mức giúp cho quá

trình sử dụng linh kiện bán dẫn với kích thước nhỏ hơn, dễ dàng hơn cho quá trình

thiết kế nhiệt của thiết bị. BBĐ đa mức tạo ra điện áp dạng sin từ các bước điện áp

nhỏ hơn từ các nguồn DC, là các loại nghịch lưu nối tầng, hoặc từ các cấp điện áp

dùng bộ phân áp bằng một loạt tụ, như nghịch lưu dùng diode kẹp (NPC) các mức

điện áp và nghịch lưu dùng hệ thống tụ DC tự do (FCC), nghịch lưu trên cơ sở cầu

chữ H nối tầng (CHB). BBĐ mô đun hóa MMC với một nguồn DC chung có thể

chuyển đổi được điện năng với điện áp lớn và công suất cao. BBĐ này có những ưu

điểm lớn đó là tính mô-đun hóa cao, khóa bán dẫn chỉ phải đóng cắt ở điện áp thấp,

giảm tổn hao do chuyển mạch, độ tin cậy cao, linh hoạt trong sửa chữa và thay thế

thiết bị [17]. Với cấu trúc mô đun hóa, MMC có thể tạo ra số mức rất lớn [18]. Với

lợi thế này, có thể xây dựng được các BBĐ MMC làm việc ở cấp điện áp từ trung

thế (MV – từ trên 1 kV đến 60 kV), đến mức cao thế (HV – 110 kV đến 220 kV)

[19], [20], [28], [33]. Như vậy, với dải công suất lớn, điện áp cao là vùng làm việc

chủ yếu của MMC để đạt được tính năng cao trong chuyển đổi điện năng.

Chiến lược điều khiển cho MMC trong nghiên cứu này tập trung vào các vấn đề

như: quá trình điều chế, cân bằng điện áp trên các tụ DC, và đặc thù đối với MMC

là vấn đề suy giảm sóng hài bậc cao của dòng điện vòng. Quá trình điều chế cần

đảm bảo hướng tới giảm tần số đóng cắt của van bán dẫn, từ đó giảm được tổn hao

do đóng cắt, trong khi phải đảm bảo giảm thiểu tổng độ méo sóng hài THD trên

dạng sóng điện áp ra. Để thực hiện được chiến lược điều khiển cho MMC, các thiết

bị vi xử lý mạnh mẽ đã ra đời cho phép thực hiện quá trình điều khiển với tốc độ

nhanh, chính xác và đáp ứng được số lượng cổng tín hiệu rất lớn của MMC để tạo

điều kiện hiện thực hóa việc điều khiển hàng loạt van bán dẫn trong cùng một

khoảng thời gian nhỏ.

pdf 163 trang dienloan 4520
Bạn đang xem 20 trang mẫu của tài liệu "Luận án Xây dựng mô phỏng thời gian thực để kiểm chứng cấu trúc điều khiển và thuật toán cân bằng năng lượng cho MMC", để tải tài liệu gốc về máy hãy click vào nút Download ở trên

Tóm tắt nội dung tài liệu: Luận án Xây dựng mô phỏng thời gian thực để kiểm chứng cấu trúc điều khiển và thuật toán cân bằng năng lượng cho MMC

Luận án Xây dựng mô phỏng thời gian thực để kiểm chứng cấu trúc điều khiển và thuật toán cân bằng năng lượng cho MMC
i 
LỜI CAM ĐOAN 
Tôi xin cam đoan đây là công trình nghiên cứu của riêng tôi dưới sự hướng dẫn 
của các Thầy hướng dẫn và các nhà khoa học. Tài liệu tham khảo trong luận án 
được trích dẫn đầy đủ. Các số liệu, kết quả trong luận án hoàn toàn trung thực và 
chưa từng được tác giả khác công bố. 
 Hà Nội, ngày 12 tháng 02 năm 2020 
Người hướng dẫn khoa học Tác giả luận án 
 Trần Hùng Cường 
ii 
LỜI CẢM ƠN 
Luận án này được hoàn thành trên cơ sở những kết quả nghiên cứu của Tôi tại 
trường Bách khoa Hà Nội, sau một thời gian học tập nghiên cứu, Tôi đã hoàn thành 
luận án này dưới sự hướng dẫn của PGS.TS. Trần Trọng Minh và TS. Phạm Việt 
Phương, Trường Đại học Bách khoa Hà Nội. 
Trước hết, Tôi xin bày tỏ lòng biết ơn sâu sắc đối với sự chỉ dẫn tận tình của tập 
thể các thầy hướng dẫn, những người đã dìu dắt, chia sẻ, quan tâm, tạo mọi điều 
kiện, giúp đỡ kịp thời về thời gian và chuyên môn để tôi hoàn thiện luận án này. 
Tôi xin chân thành cảm ơn các Thầy Cô trong bộ môn Tự động hóa Công nghiệp, 
các Thầy Cô công tác tại viện Kỹ thuật điều khiển và Tự động hóa, đã có những ý 
kiến góp ý chân thành, sâu sắc trong suốt quá trình tôi học tập, làm việc, xây dựng 
thực nghiệm cũng như từng bước thực hiện luận án. 
Tôi xin cảm ơn Ban giám hiệu, Phòng đào tạo, Viện Điện Trường Đại học Bách 
khoa Hà Nội đã tạo điều kiện thuận lợi nhất về nhiều mặt để tôi hoàn thành luận án. 
Tôi xin chân thành cảm ơn các anh chị em Nghiên cứu sinh cùng chuyên ngành, 
các bạn sinh viên Tự động hóa, các kỹ sư và nhà nghiên cứu trẻ tại Phòng thí 
nghiệm 203-C9 trường ĐH Bách khoa Hà Nội, những người luôn cùng Tôi đồng 
hành, luôn động viên, giúp đỡ lẫn nhau, cùng trao đổi chuyên môn, hỗ trợ Tôi trong 
việc tìm kiếm tài liệu nghiên cứu trong học tập để tôi có kết quả như ngày hôm nay. 
Tôi xin bày tỏ lòng cảm ơn sự quan tâm, giúp đỡ, động viên và tạo điều kiện của 
Ban giám hiệu trường Đại học Hồng Đức, Ban chủ nhiệm khoa Kỹ thuật Công nghệ 
và các đồng nghiệp tại khoa Kỹ thuật Công nghệ, Trường Đại học Hồng Đức đã 
giúp đỡ tạo điều kiện về mặt thời gian, công việc để Tôi học tập, nghiên cứu một 
cách thuận lợi. 
Sau cùng, tôi xin gửi lời cảm ơn sâu sắc nhất đến gia đình Tôi đã luôn quan tâm, 
động viên và giúp đỡ để Tôi vượt qua mọi khó khăn để hoàn thành luận án. 
Hà Nội, ngày 12 tháng 02 năm 2020 
 Tác giả luận án 
 Trần Hùng Cường 
iii 
MỤC LỤC 
 Trang 
LỜI CAM ĐOAN ........................................................................................................ i 
LỜI CẢM ƠN ............................................................................................................. ii 
MỤC LỤC ................................................................................................................. iii 
DANH MỤC CHỮ VIẾT TẮT ................................................................................ vii 
DANH MỤC BẢNG ............................................................................................... viii 
MỞ ĐẦU .................................................................................................................... 1 
CHƯƠNG 1: TỔNG QUAN VỀ BỘ BIẾN ĐỔI ĐA MỨC MMC ........................... 5 
1.1 Tình hình nghiên cứu trong và ngoài nước ...................................................... 5 
1.1.1 Tình hình nghiên cứu trong nước ............................................................... 5 
1.1.2 Tình hình nghiên cứu ngoài nước ............................................................... 6 
1.2 Cấu trúc cơ bản và hoạt động của bộ biến đổi MMC ..................................... 8 
1.2.1 Cấu trúc của bộ biến đổi MMC .................................................................. 8 
1.2.1 Nguyên lý tạo một mức điện áp của SM dạng nửa cầu ........................... 10 
1.2.2 Nguyên lý hoạt động của bộ biến đổi MMC ........................................... 11 
1.3 Vấn đề điều chế cho bộ biến đổi MMC ......................................................... 13 
1.4 Vấn đề điều khiển cho bộ biến đổi MMC ...................................................... 14 
1.5 Định hướng nghiên cứu và đóng góp của luận án .......................................... 18 
1.6 Tóm tắt và kết luận ......................................................................................... 19 
CHƯƠNG 2: MÔ HÌNH HÓA BỘ BIẾN ĐỔI MMC ............................................. 20 
2.1 Mô hình trạng thái liên tục của BBĐ MMC khi nối tải R-L .......................... 20 
2.2 Mô hình BBĐ MMC trong chế độ nối lưới ................................................... 26 
2.3 Mô hình MMC trong các phương pháp điều chế cơ bản ............................... 30 
2.3.1 Mô hình MMC trong phương điều chế mức gần nhất NLM ...................... 30 
2.3.1.1 Điều chế NLM cổ điển cho MMC ....................................................... 31 
2.3.1.2 Điều chế NLM cải tiến cho MMC ........................................................ 33 
2.4 Mô phỏng các phương pháp điều chế cơ bản cho MMC ............................... 40 
2.4.1 Mô phỏng phương pháp điều chế NLM cải tiến cho BBĐ MMC ........... 41 
2.4.2 Mô phỏng phương pháp điều chế PS-PWM cho BBĐ MMC ................. 42 
2.5 Tóm tắt và kết luận ......................................................................................... 44 
CHƯƠNG 3: PHƯƠNG PHÁP ĐIỀU CHẾ SVM CHO BBĐ MMC .................... 46 
3.1 Phương pháp điều chế SVM cho nghịch lưu đa mức .................................... 46 
3.1.1 Trạng thái khóa bán dẫn, trạng thái mức và vector trạng thái ................. 47 
3.1.2 Tính hệ số điều chế theo phương pháp điều chế từ ba vector gần nhất .. 51 
3.1.4 Xác định các vector trạng thái trong các sector ...................................... 54 
3.2 Trật tự tối ưu về số lần chuyển mạch và chất lượng sóng hài điện áp ra ....... 57 
3.3 Thứ tự chuyển mạch tối ưu và điều chế bằng ba vector gần nhất .................. 59 
3.4 Thực hiện quy luật điều chế SVM cho MMC ................................................ 63 
3.5 Thuật toán cân bằng điện áp trên các tụ của MMC ....................................... 65 
iv 
3.6 Mô phỏng phương pháp điều chế SVM cho BBĐ MMC ............................. 67 
3.7 Điều khiển dự báo cân bằng điện áp trung bình tụ điện ................................ 69 
3.7.1 Mô hình dự báo dòng điện vòng, điện áp tụ điện của MMC ................... 72 
3.7.2 Hàm mục tiêu của thuật toán điều khiển dự báo cân bằng giá trị trung 
bình điện áp tụ điện ............................................................................................ 74 
3.7.3 Thuật toán tối ưu hóa giá trị dự báo điện áp trung bình trên tụ điện mỗi 
nhánh pha ........................................................................................................... 75 
3.8 Điều khiển suy giảm thành phần sóng hài bậc cao của dòng điện vòng trong 
MMC ................................................................................................................ 76 
3.9 Mô hình và kết quả mô phỏng MMC dựa trên thuật toán điều khiển dự báo 
dòng điện vòng và cân bằng điện áp tụ điện .................................................... 80 
3.9.1. Mô hình các khối mô phỏng trong matlab-simulink ............................... 80 
3.9.3 Kết quả mô phỏng ..................................................................................... 85 
3.10 Tóm tắt và kết luận ........................................................................................ 89 
CHƯƠNG 4: HỆ THỐNG ĐIỀU KHIỂN CHO CÁC ỨNG DỤNG CỦA MMC .. 91 
4.1 Điều khiển BBĐ MMC nối lưới điện xoay chiều ba pha .............................. 91 
4.1.1 Thiết kế bộ điều khiển dòng điện ............................................................. 92 
4.1.2 Thiết kế bộ điều khiển công suất tác dụng, công suất phản kháng .......... 94 
4.1.3 Kết quả mô phỏng hệ thống bộ biến đổi MMC nối lưới ......................... 96 
4.2 Ứng dụng D-STATCOM bù CSPK dựa trên MMC ...................................... 99 
4.2.1 Giới thiệu về STATCOM và bù công suất phản kháng............................ 99 
4.2.2 Cấu trúc DSTATCOM dựa trên MMC .................................................. 100 
4.2.3 Nguyên lý làm việc D-STATCOM........................................................ 101 
4.2.4 Thiết kế điều khiển D-STATCOM dựa trên MMC ............................... 103 
4.2.5 Mô phỏng hệ thống D-STATCOM dựa trên MMC .............................. 105 
4.3 Tóm tắt và kết luận ........................................................................................ 107 
CHƯƠNG 5: THIẾT KẾ XÂY DỰNG HỆ THỐNG THỰC NGHIỆM BỘ BIẾN 
ĐỔI MMC .............................................................................................................. 109 
5.1 Cấu trúc hệ thống thực nghiệm BBĐ MMC ................................................ 109 
5.2 Tính toán thiết kế hệ thống thực nghiệm ..................................................... 110 
5.2.1 Tính toán thiết kế mạch lực .................................................................... 110 
5.2.2 Tính toán thiết kế mạch đo ..................................................................... 112 
5.2.3 Mạch ADC ............................................................................................. 114 
5.2.3 Tính toán và thiết kế mạch driver .......................................................... 115 
5.2.4 Mạch đệm ADC ..................................................................................... 116 
5.2.5 Mạch FPGA ............................................................................................ 117 
5.2.6 Sản phẩm mạch được thiết kế ................................................................ 117 
5.3 Kết quả thực nghiệm .................................................................................... 120 
5.3.1 Kết quả thực nghiệm phương pháp điều chế PWM cho MMC .............. 120 
5.3.2 Kết quả thực nghiệm phương pháp điều chế NLM cho MMC ............... 122 
v 
5.3.3 Kết quả thực nghiệm phương pháp điều chế SVM cho MMC ............... 124 
5.4 Tóm tắt và kết luận ........................................................................................ 125 
KẾT LUẬN VÀ KIẾN NGHỊ ................................................................................ 127 
DANH MỤC CÁC CÔNG TRÌNH ĐÃ CÔNG BỐ CỦA LUẬN ÁN ................. 130 
PHỤC LỤC............................................................................................................. 139 
Phục lục 1 Các chương trình lập trình ............................................................... 139 
Phục lục 2 Hình ảnh một số khối thực hiện mô phỏng trên Matlab-Simmulink 146 
Phục lục 3 Tính toán thông số hệ thống mạch đo trong thực nghiệm MMC ...... 148 
vi 
DANH MỤC KÝ HIỆU 
Ký hiệu Đơn vị Ý nghĩa 
L H Cuộn cảm trên tải 
R  Điện trở trên tải 
Lo H Cuộn cảm trên nhánh của MMC 
C F Tụ điện của SM 
Ro  Điện trở nhánh của MMC 
U V Điện áp nguồn điện phía xoay 
id, iq A Dòng điện chạy qua cuộn cảm trên hệ tọa độ dq 
i∝, iβ A Dòng điện chạy qua cuộn cảm trên hệ tọa độ ∝β 
iv A Dòng điện vòng 
ix A Dòng điện phía đầu ra xoay chiều 
iDC A Dòng điện phía một chiều 
iref A Dòng điện đặt 
iref_d, iref_d A Dòng điện đặt trục d và trục q trong hệ tọa độ dq 
iHx A Dòng điện chạy ở nhánh trên 
iLx A Dòng điện chạy ở nhánh dưới 
vHx V Điện áp tổng của nhánh trên 
vx V Điện áp ra phía xoay chiều 
vLx V Điện áp tổng của nhánh dưới 
VDC V Điện áp nguồn một chiều 
VC V Điện áp tụ điện 
Vdiff V Độ chênh lệch điện áp nhánh trên và nhánh dưới 
P W Công suất tác dụng 
Q Var Công suất phản kháng 
VSM V Điện áp của SM 
vex V Điện áp ra của bộ biến đổi 
kH, kL Chỉ số chèn của SM ở nhánh trên và nhánh dưới 
CxV
 V Điện áp tổng của tụ điện được chèn vào trong một pha 
xC

F Điện dung tổng trong một pha 
Cl F Điện dụng bộ lọc nối lưới 
Ll H Điện cảm bộ lọc nối lưới 
ωs rad/s Tần số góc dòng điện và điện áp lưới 
vii 
DANH MỤC CHỮ VIẾT TẮT 
ĐTCS Điện tử công suất 
BBĐ Bộ biến đổi 
SVM Space Vector Modulation Điều chế véc tơ không gian 
CHB Cascaded H -bridge Nghịch lưu đa mức cầu H nối tầng 
FPGA Field Programmable Gate 
Array 
Mảng cổng lập trình được 
HB H-Bridge Cầu H 
DSP Digital Signal Processor Xử lý tín hiệu số 
FACTS Flexible AC Transmission 
System 
Hệ thống truyền tải xoay chiều linh 
hoạt 
FC Flying Capacitor Tụ bay 
IGBT Insulated Gate Bipolar 
Transistor 
Van IGBT 
PV Photovoltaic Điện mặt trời 
NPC Neutral –point converter Bộ biến đổi đa mức diode chốt 
MPC Model Predictive Control Điều khiển dựa trên mô hình dự báo 
FCS Finite Control Set Điều khiển hữu hạn trạng thái đóng cắt 
PLL Phase Locked Loop Vòng khóa pha 
PWM Pulse Width Modulation Điều chế độ rộng xung 
MMC Modular multilevel Converter Bộ biến đổi đa mức cấu trúc module 
STATCOM Static Synchronous 
Compensator 
Thiết bị bù đồng bộ tĩnh 
FOC Flux oriented control Điều khiển tựa theo từ thông 
THD Total Harmonic Distortion Tổng méo sóng hài 
NLM Nearest Level Modulation Điều chế mức gần nhất 
SVM Space Vector Modulation Điều chế vector không gian 
SM Sub Module Bộ biến đổi nửa cầu 
PS-PWM Phase Shift Carrier Based 
Modulation 
Điều chế theo sóng mang dạng dịch pha 
LS-PWM Level Shift Carrier Based 
Modulation 
Điều chế theo sóng mang dạng dịch mức 
IPD In Phase Disposition Sóng mang cùng pha 
POD Phase Opposite Disposition Sóng mang đối xứng qua trục thời gian 
APOD Alternative Phase Opposite 
Disposition 
Sóng mang ngược pha giữa hai sóng 
mang kề nhau, dịch một góc 180o 
CSPK Công suất phản kháng 
viii 
DANH MỤC BẢNG 
Bảng 1.1 Điện áp ngõ ra của SM ............................................................................ 11 
Bảng 2.1 Số mức điện áp trên đầu ra của NVL cải tiến. .......................................... 23 
Bảng 2.2 Kết quả so sánh giữa hai phương pháp NLM cổ điển và NLM cải tiến ... 35 
Bảng 2.3 Thông số mô phỏng BBĐ MMC ................................................................ 40 
Bảng 3.1 Bảng vector trạng thái cho MMC ba pha 3 mức (góc phần sáu I, II, III) 50 
Bảng 3.2 Bảng các vector trạng thái trong các sector ............................................. 56 
Bảng 3.3 Chuyển mạch tối ưu cho nhóm 4 tam giác 1, 2, 3, 4. ................................ 58 
Bảng 3.4 Bảng gán các trạng thái khóa cho các vector trong điều chế NVM ở sector 
I, cùng một trạng thái [kg, kh] , mg + mh <=1 ......................................................... 60 
Bảng 3.5 Bảng gán các trạng thái khóa cho các vector trong điều chế NVM ở sector 
I, cùng một trạng thái [kg, kh], mg + mh > 1 ........................................................... 60 
Bảng 3.6 Bảng gán các trạng thái khóa cho các vector trong điều chế NVM ở sector 
II, cùng một trạng thái [kg, kh], mg + mh <= 1 ........................................................ 60 
Bảng 3.7 Bảng gán các trạng thá ... Manjrekar, P. Steimer, and T. Lipo (1999), “Control strategy for a 
hybrid seven level inverter” in Proc. European Power Electronics Conf. 
(EPE„99), Lausanne, Switzerland, CD-ROM, July-1999. 
[98] Antonios Antonopoulos, Lennart A¨ ngquist, Hans-Peter Nee (2014), “On 
Dynamics and Voltage Control of the Modular Multilevel Converter”, 
Laboratory of electrical machines and power electronics royal institute of 
technology (KTH) Teknikringen 33, 100 44 Stockholm, Sweden, 2014. 
[99] Udana N. Gnanarathna, Aniruddha M. Gole, Athula D. Rajapakse, and Sanjay 
K. Chaudhary (2012); “Loss Estimation of Modular Multi-Level Converters 
using Electro-Magnetic Transients Simulation”; Power Electronics and Motion 
Control Conference. IEEE 5th International, September-2-12. 
[100] W. A. Hill and C. D. Harbourt (1999), “Performance of medium voltage 
multilevel inverters” in Conf. Rec. IEEE-IAS Annu. Meeting, Pheonix, AZ, 
Oct, pp. 1186–119. 
[101] Tefano Bifaretti, Pericle Zanchetta, Florin Iov, and Jon C. Clare, “Power Flow 
Control through a Multi-Level H-Bridge based Power Converter for Universal 
and Flexible Power Management in Future Electrical Grids”, 13th International 
Power Electronics and Motion Control Conference, September 2008. 
[102] Wen Wu, Xuezhi Wu, Long Jing, Jinke Li (2016), “Design of Modular 
Multilevel Converter Hardwarein-Loop Platform Based on RT-lab”, IEEE 8th 
International Power Electronics and Motion Control Conference (IPEMC-
ECCE Asia), July 2016. 
Phục lục 
139 
PHỤC LỤC 
Phục lục 1 Các chương trình lập trình 
Phục lục 1.1 Chương trình lập trình thuật toán cân bằng điện áp tụ điện pha A 
function [s1,s2,s3,s4,s5,s6] = CBa(x1,vc1,vc2,vc3,vc4,vc5,vc6,ia) 
s1=0;s2=0;s3=0;s4=0;s5=0;s6=0; 
y=zeros(7);k=zeros(7);s=zeros(7); 
y(1)=vc1;y(2)=vc2;y(3)=vc3;y(4)=vc4;y(5)=vc5;y(6)=vc6; 
if (x1==6) 
 s1=1;s2=1;s3=1;s4=1;s5=1;s6=1; 
end 
if(x1==0) 
 s1=0;s2=0;s3=0;s4=0;s5=0;s6=0; 
end 
if(x1>0&&x1<6) 
 for i=1:6 
 k(i)=y(i); 
 s(i)=0; 
 end 
 for i=1:1:5 
 for j=i+1:1:6 
 if (k(i)>k(j)) 
 a=k(j); 
 k(j)=k(i); 
 k(i)=a; 
 end 
 end 
 end 
 if(ia<0) 
 for i=1:6 
 if(y(i)>k(6-x1)) 
 s(i)=1; 
 end 
 end 
 end 
 if(ia>0) 
 for i=1:6 
 if(y(i)<=k(x1)) 
 s(i)=1; 
 end 
 end 
 end 
s1=s(1);s2=s(2);s3=s(3);s4=s(4);s5=s(5);s6=s(6); 
end 
Phục lục 1.2 Chương trình lập trình thuật toán làm tròn trong điều chế NLM cải 
tiến 
function [x1a,x2a,x1b,x2b,x1c,x2c] = V1(Ula,Upa,Ulb,Upb,Ulc,Upc,Ud) 
 x1a=round(Ula/Ud+0.25); 
 x2a=round(Upa/Ud+0.25); 
 x1b=round(Ulb/Ud+0.25); 
 x2b=round(Upb/Ud+0.25); 
 x1c=round(Ulc/Ud+0.25); 
Phục lục 
140 
 x2c=round(Upc/Ud+0.25); 
Phục lục 1.3 Chương trình lập trình thuật toán điều chế SVM với số mức bất kỳ 
a) Chương trình xác định sector từ I đến VI (Function1) 
function y=SVMlogic11H(x) 
ualpha = x(1); 
ubeta = x(2); 
udc = (x(3)+0.001)*2/3 
temp = ubeta/sqrt(3); 
z1x = ualpha -temp; 
z2y = -z1x; 
z1y = 2*temp; 
z3x = z1y; 
z2x = ualpha + temp; 
z3y = -z2x; 
if z1x*z1y < 0 
 if z2x*z2y < 0 
 if z3x > 0 
 No = 3; 
 else 
 No = 6; 
 end 
 elseif z2x > 0 
 No = 2; 
 else 
 No = 5; 
 end 
elseif z1x > 0 
 No = 1; 
else 
 No =4; 
end 
duty = [0 0]; 
switch No 
 case 1 
 duty = [z1x z1y]; 
 case 2 
 duty = [z2x z2y]; 
 case 3 
 duty = [z3x z3y]; 
 case 4 
 duty = [-z1x -z1y]; 
 case 5 
 duty = [-z2x -z2y]; 
 case 6 
 duty = [-z3x -z3y]; 
end 
d1 = duty(1)/udc; 
d2 = duty(2)/udc; 
d = d1 + d2; 
if d > 12.0 
 d1 = 12*d1/d; 
 d2 = 12*d2/d; 
 d0 = 0; 
else 
 d0 = 12- (d1 + d2); 
end 
y = [No d1 d2]; 
b) Chương trình xác định tam giác loại 1, tam giác loại 2 và hệ số điều chế 
(Function2) 
function y=TriType11H(x) 
m1 = x(1); 
m2 = x(2); 
SecNo = x(3); 
Phục lục 
141 
kg=floor(m1); 
kh=floor(m2); 
mg=m1-kg; 
mh=m2-kh; 
m0=mg+mh; 
d1 = 0; 
d2 = 0; 
d3 = 0; 
checkodd=mod(SecNo,2); 
 if m0<=1 
 D=1; 
 d1=1-m0; 
 d2=mg; 
 d3=mh; 
 else %m0>1 
 D=2; 
 d1=1-mh; 
 d2=1-mg; 
 d3=m0-1; 
 end 
else 
 if m0<=1 
 D=1 
 d1=1-m0; 
 d2=mh; 
 d3=mg; 
 else 
 D=2; 
 d1=1-mh; 
 d2=1-mg; 
 d3=m0-1; 
 end 
end 
y = [D d1 d2 d3 kg kh]; 
c) Thuật toán xác định được lượng đặt cho các hệ số chèn tụ (Function 4) 
function [y, I_sort] = sort_choose_togate(u1, u2) 
Vc=u1; 
i_sign=uint8(u2(1)); 
k_up=uint8(u2(2)); 
N=6; 
[V_sort I_sort]=sort(Vc,'ascend'); 
Von=zeros(N,1); 
if k_up>=1 
 if i_sign==1 
 j=1; 
 while j<=k_up 
 Von(I_sort(j))=1; 
 j=j+1; 
 end 
 else 
 j=N; 
 while j>(N-k_up) 
 Von(I_sort(j))=1; 
 j=j-1; 
 end 
 end 
end 
y = Von; 
Phục lục 1.4 Chương trình lập trình thuật toán điều khiển dự báo dòng điện vòng và 
cân bằng điện áp trung bình các nhánh trong mỗi pha (Function 3) 
Phục lục 
142 
function [simV,kopt,Jvmin,kABCout] = Simulator(u1, u2, u3, u4, u5) 
 d123=u1; 
Dtype=u1(4); 
kg=u1(5); 
kh=u1(6); 
SecNo=u1(7); 
iABC=u2; 
icirABC=u3; 
veABCuplo=u4; 
vdiff=u5; 
icirA=zeros(7,1); 
icirB=zeros(7,1); 
icirC=zeros(7,1); 
icirA(1)=icirABC(1); 
icirB(1)=icirABC(2); 
icirC(1)=icirABC(3); 
veAup=zeros(7,1); 
veAlo=zeros(7,1); 
veBup=zeros(7,1); 
veBlo=zeros(7,1); 
veCup=zeros(7,1); 
veClo=zeros(7,1); 
veAup(1)=veABCuplo(1); 
veAlo(1)=veABCuplo(2); 
veBup(1)=veABCuplo(3); 
veBlo(1)=veABCuplo(4); 
veCup(1)=veABCuplo(5); 
veClo(1)=veABCuplo(6); 
kABCout=zeros(6,1); 
L=3.6e-3; 
C=2200e-6; 
Vdc=600; 
Vce=Vdc/6; 
kmax=6; 
k0=kh+kg; 
ksmin=-kmax+k0; 
if (k0==2*kmax-1)&&(Dtype==1) 
 ksmax=kmax-1; 
elseif (k0==2*kmax-2)&&(Dtype==1) 
 ksmax=kmax-1; 
else 
 ksmax=kmax-2; 
end 
kopt=ksmin; 
Jvmin=1e9; 
for ksim=ksmin:1:ksmax 
 [simlevel,kABC]=kABCuplo(ksim,k0,kg,kh,d123,Dtype,SecNo,vdiff); 
 levelA=simlevel(:,1); 
 levelB=simlevel(:,2); 
 levelC=simlevel(:,3); 
 for j=1:1:3 
 icirA(j+1)=icirA(j)+(Vdc-levelA(3*j-2)*veAup(j)-levelA(3*j-
1)*veAlo(j))*levelA(3*j)/(2*L); 
 veAup(j+1)=veAup(j)+((icirA(j+1)+icirA(j))/2-iABC(1)/2)*levelA(3*j)/C; 
 veAlo(j+1)=veAlo(j)+((icirA(j+1)+icirA(j))/2+iABC(1)/2)*levelA(3*j)/C; 
 %Phase B 
 icirB(j+1)=icirB(j)+(Vdc-levelB(3*j-2)*veBup(j)-levelB(3*j-
1)*veBlo(j))*levelB(3*j)/(2*L); 
 veBup(j+1)=veBup(j)+((icirB(j+1)+icirB(j))/2-iABC(2)/2)*levelB(3*j)/C; 
 veBlo(j+1)=veBlo(j)+((icirB(j+1)+icirB(j))/2+iABC(2)/2)*levelB(3*j)/C; 
 %Phase C 
 icirC(j+1)=icirC(j)+(Vdc-levelC(3*j-2)*veCup(j)-levelC(3*j-
1)*veClo(j))*levelC(3*j)/(2*L); 
 veCup(j+1)=veCup(j)+((icirC(j+1)+icirC(j))/2-iABC(3)/2)*levelC(3*j)/C; 
 veClo(j+1)=veClo(j)+((icirC(j+1)+icirC(j))/2+iABC(3)/2)*levelC(3*j)/C; 
 end 
Phục lục 
143 
 for j=4:1:6 
 %Phase A 
 icirA(j+1)=icirA(j)+(Vdc-levelA(19-3*j)*veAup(j)-levelA(20-
3*j)*veAlo(j))*levelA(21-3*j)/(2*L); 
 veAup(j+1)=veAup(j)+((icirA(j+1)+icirA(j))/2-iABC(1)/2)*levelA(21-3*j)/C; 
 veAlo(j+1)=veAlo(j)+((icirA(j+1)+icirA(j))/2+iABC(1)/2)*levelA(21-3*j)/C; 
 %Phase B 
 icirB(j+1)=icirB(j)+(Vdc-levelB(19-3*j)*veBup(j)-levelB(20-
3*j)*veBlo(j))*levelB(21-3*j)/(2*L); 
 veBup(j+1)=veBup(j)+((icirB(j+1)+icirB(j))/2-iABC(2)/2)*levelB(21-3*j)/C; 
 veBlo(j+1)=veBlo(j)+((icirB(j+1)+icirB(j))/2+iABC(2)/2)*levelB(21-3*j)/C; 
 %Phase C 
 icirC(j+1)=icirC(j)+(Vdc-levelC(19-3*j)*veCup(j)-levelC(20-
3*j)*veClo(j))*levelC(21-3*j)/(2*L); 
 veCup(j+1)=veCup(j)+((icirC(j+1)+icirC(j))/2-iABC(3)/2)*levelC(21-3*j)/C; 
 veClo(j+1)=veClo(j)+((icirC(j+1)+icirC(j))/2+iABC(3)/2)*levelC(21-3*j)/C; 
 end 
 Jv=(veAup(7)-veAlo(7))^2+(veBup(7)-veBlo(7))^2+(veCup(7)-veClo(7))^2; 
 if Jv<=Jvmin 
 Jvmin=Jv; 
 kopt=ksim; 
 kABCout=kABC; 
 end 
end%End of ksim=ksmin:1:ksmax 
%Output simMPC 
%simMPC=simlevel; 
simV=[icirA(7);icirB(7);icirC(7);veAup(7);veBup(7);veCup(7);veAlo(7);veBlo(7);veC
lo(7)]; 
end 
function [levelsim, kABC]=kABCuplo(ksim,k0,kg,kh,d123,Dtype,SecNo,vdiff) 
kA=0; 
kB=0; 
kC=0; 
dA=0; 
dB=0; 
d1=d123(1)/2; 
d2=d123(2); 
d3=d123(3); 
vdiff_A=vdiff(1); 
vdiff_B=vdiff(2); 
vdiff_C=vdiff(3); 
dC=0; 
switch SecNo 
 case 1 if Dtype==1 
 kA=ksim; 
 kB=ksim-kg; 
 kC=ksim-k0; 
 dA=d1; 
 dB=d1+d2; 
 dC=d1+d2+d3; 
 else 
 kA=ksim+1; 
 kB=ksim-kg; 
 kC=ksim-k0; 
 dA=d1+d2; 
 dB=d1; 
 dC=d1+d2+d3; 
 end 
 case 2 
 if Dtype==1 
 kA=ksim-kh; 
 kB=ksim; 
 kC=ksim-k0; 
 dA=d1+d2; 
 dB=d1; 
 dC=d1+d2+d3; 
 else 
Phục lục 
144 
 kA=ksim-kh; 
 kB=ksim+1; 
 kC=ksim-k0; 
 dA=d1; 
 dB=d1+d2; 
 dC=d1+d2+d3; 
 end 
 case 3 
 if Dtype==1 
 kA=ksim-k0; 
 kB=ksim; 
 kC=ksim-kg; 
 dA=d1+d2+d3; 
 dB=d1; 
 dC=d1+d2; 
 else 
 kA=ksim-k0; 
 kB=ksim+1; 
 kC=ksim-kg; 
 dA=d1+d2+d3; 
 dB=d1+d2; 
 dC=d1; 
 end 
 case 4 
 if Dtype==1 
 kA=ksim-k0; 
 kB=ksim-kh; 
 kC=ksim; 
 dA=d1+d2+d3; 
 dB=d1+d2; 
 dC=d1; 
 else 
 kA=ksim-k0; 
 kB=ksim-kh; 
 kC=ksim+1; 
 dA=d1+d2+d3; 
 dB=d1; 
 dC=d1+d2; 
 end 
 case 5 
 if Dtype==1 
 kA=ksim-kg; 
 kB=ksim-k0; 
 kC=ksim; 
 dA=d1+d2; 
 dB=d1+d2+d3; 
 dC=d1; 
 else 
 kA=ksim-kg; 
 kB=ksim-k0; 
 kC=ksim+1; 
 dA=d1; 
 dB=d1+d2+d3; 
 dC=d1+d2; 
 end 
 case 6 
 if Dtype==1 
 kA=ksim; 
 kB=ksim-k0; 
 kC=ksim-kh; 
 dA=d1; 
 dB=d1+d2+d3; 
 dC=d1+d2; 
 else 
 kA=ksim+1; 
 kB=ksim-k0; 
 kC=ksim-kh; 
 dA=d1+d2; 
Phục lục 
145 
 dB=d1+d2+d3; 
 dC=d1; 
 end 
end 
kABC=[kA;dA;kB;dB;kC;dC]; 
levelA=level2UpLo(kA,dA,vdiff_A); 
levelB=level2UpLo(kB,dB,vdiff_B); 
levelC=level2UpLo(kC,dC,vdiff_C); 
levelsim=[levelA,levelB,levelC]; 
end 
function kt_uplo = level2UpLo(kh,dh,vdiff) 
N=6; 
Ts=0.25e-3; 
k_up=(N-(kh+1-dh)-vdiff)/2; 
k_lo=(N+(kh+1-dh)-vdiff)/2; 
a_up=1; 
a_lo=1; 
if k_up<=0 
 k_up=0; 
elseif k_up>=N 
 k_up=N; 
else 
 a_up=1-(k_up-floor(k_up)); 
 k_up=floor(k_up); 
end 
if k_lo<=0 
 k_lo=0; 
elseif k_lo>=N 
 k_lo=N; 
else 
 a_lo=1-(k_lo-floor(k_lo)); 
 k_lo=floor(k_lo); 
end 
t_up=Ts*a_up; 
t_lo=Ts*a_lo; 
if t_lo>t_up 
 t1=t_up; 
 k_up1=k_up; 
 k_lo1=k_lo; 
 t2=t_lo-t_up; 
 k_up2=k_up+1; 
 k_lo2=k_lo; 
 t3=Ts-(t1+t2); 
 k_up3=k_up+1; 
 k_lo3=k_lo+1; 
else 
 t1=t_lo; 
 k_up1=k_up; 
 k_lo1=k_lo; 
 t2=t_up-t_lo; 
 k_up2=k_up; 
 k_lo2=k_lo+1; 
 t3=Ts-(t1+t2); 
 k_up3=k_up+1; 
 k_lo3=k_lo+1; 
end 
kt_uplo = [k_up1;k_lo1;t1;k_up2;k_lo2;t2;k_up3;k_lo3;t3]; 
end 
Phục lục 
146 
Phục lục 2 Hình ảnh một số khối thực hiện mô phỏng trên Matlab-Simmulink 
Hình PL1 Khối tạo xung điều khiển phương pháp PS-PWM 
Hình PL2 Khối tạo xung điều khiển phương pháp LS-PWM 
Phục lục 
147 
Hình PL3 Khối các SM mắc nối tiếp nhánh trên pha A 
Hình PL 4 Khối cấu trúc điều khiển MMC trong chế độ nối lưới 
Hình PL 5 Khối cấu trúc điều khiển MMC trong chế độ bù công suất phản kháng 
Phục lục 
148 
Phục lục 3 Tính toán thông số hệ thống mạch đo trong thực nghiệm MMC 
 Tính toán thông số các phần tử trong mạch đo dòng điện 
Biên độ điện áp ra của mạch đo dòng điện nhánh như công thức (6.1) 
2
1
1 /
v
o
A
f f
Equation Chapter (Next) Section 1
(6.1)
Với thành phần một chiều thì tần số f = 0 nên Vout-chia áp = Vin-RC = Vout-RC = 3V 
(xét trường hợp đầu ra max 3V). Phần tử giới hạn điện áp ra gồm 2 diode D1 và D2 
mắc cùng chiều với mức điện áp chuẩn VREF là 3V và GND 5V. Mục đích là giới 
hạn điện áp đầu ra 0-3V, đảm bảo chắc chắn đầu ra không quá 3V. Quá trình tính 
toán chi tiết thông số các phần tử trong mạch đo dòng điện được thể hiện trong 
phục lục 3. 
Yêu cầu điện áp ra của mạch đo lường là 0-3V có mục đích cung cấp tín hiệu đo 
lường cho vi điều khiển. Để tính chọn được thông số các thiết bị, ta tiến hành xét 
giá trị điện áp ra lớn nhất là 3V để tính toán giá trị các phần tử. Từ đó, điện áp của 
mạch lọc RC sẽ có giá trị 3V, cũng là điện áp ra mạch chia áp. Điện áp đầu ra mạch 
chia áp Vout_chia áp là 3V, đồng thời xét điện áp đầu vào của khuếch đại tín hiệu V+IN 
lớn nhất là 15V, thì điện áp đầu ra VOUT của khếch đại thuật toán được tính theo 
công thức (3.9) như sau: 
 * 30OUT IN INV G V V V (6.2) 
Theo cấu trúc của IC khuếch đại INA128, đầu ra VOUT của IC được so sánh với 
điện áp VREF nên giá trị điện áp vào Vin_chia áp của mạch chia áp như: 
 _ REF 31,5in chia ap OUTV V V V (6.3) 
Dựa vào mạch chia áp và công thức (5.2), ta có: 
4
_ _
4 3
out chia ap in chia ap
R
V V
R R
(6.4) 
Thay số vào (6.4) ta được: 
_ _3
4 _
19
2
in chia ap out chia ap
out chia ap
V VR
R V
(6.5) 
Chọn giá trị điện trở R3 và R4 sao cho đảm bảo tỷ số trên. 
Các giá trị R và C của mạch lọc được chọn sao cho tần số lọc fo = 20kHz. 
61 8,25.10
2 o
R
f C C 
(6.6) 
Trên thị trường, ta chọn giá trị R, C đảm bảo tỷ số trên. 
 Tính toán thông số các phần tử trong mạch đo điện áp. 
Điện áp đầu ra mạch chia áp Vout_chia áp là 3V, đồng thời xét điện áp đầu vào của 
khuếch đại tín hiệu V+IN lớn nhất là 15V, thì điện áp đầu ra VOUT của khếch đại thuật 
toán được tính theo công thức (5.2) như (6.7): 
Phục lục 
149 
 * 3OUT IN INV G V V V (6.7) 
Trong đó: 
G
50 Ω
=1+ 3,75
k
G
R
 và điện trở RG = 18,18 (kΩ). 
Theo cấu trúc của IC khuếch đại INA128, đầu ra VOUT của IC được so sánh với 
điện áp VREF nên giá trị điện áp vào Vin_chia áp của mạch chia áp là: 
 _ REF 18in chia ap OUTV V V V (6.8) 
Dựa vào mạch chia áp 0-3V theo công thức (6.9), ta có: 
_ _36
41 _
5
in chia ap out chia ap
out chia ap
V VR
R V
(6.9) 
Chọn giá trị điện trở R36 và R41 sao cho đảm bảo tỷ như công thức (6.9). 
Xét bộ phân áp đầu vào với điện áp vào Vin_chia áp lớn nhất là 200V, ta có: 
 39_ _
39 31 27 38 32 28
= 100out chia ap in chia ap
R
V V mV
R R R R R R
 (6.10) 
Trong đó: 27 38 32 28
31 39
= = = 470
120 ; 1
R R R R k
R k R k
  
   
Bộ lọc điện áp đầu vào IC HCPL-7800 có tần số 
1
2
of
RC 
 =32,7 kHz. Các giá 
trị R và C của bộ lọc được chọn sao cho tần số lọc fo = 32,7 kHz, ta có: 
61 4,87.10
2 o
R
f C C 
(6.11) 
Chọn giá trị R, C đảm bảo tỷ số như (6.11), có R = 487,5Ω, C = 104 pF. 
Bộ lọc điện áp đầu ra của INA128 có tần số 
1
2
of
RC 
 =20 kHz. Các giá trị R và 
C của mạch lọc được chọn sao cho tần số lọc fo = 20kHz, ta có: 
61 8,25.10
2 o
R
f C C 
(6.12) 
Ta chọn được giá trị R, C đảm bảo tỷ số như (3.25), với R = 25kΩ, C = 330pF. 
 Tính toán các phần tử mạch đo điện áp tụ điện 
39
_ _
39 31 27 38 32 28
=out chia ap in chia ap
R
V V
R R R R R R 
(6.13) 
41
_ _
41 36
out chia ap in chia ap
R
V V
R R
(6.14) 
Điện áp đầu vào của mạch chia áp chính là điện áp VOUT của INA128. Điện áp 
đầu ra của mạch chia áp có giá trị 0-3V. 
 Nguyên lý xác định D_OUT của ADC Hình 5.7 
Xung CS, CLK, D_IN được cấp từ vi điều khiển. Khi tín hiệu CS ở mức thấp thì 
MCP3208 hoạt động, vi điều khiển cấp xung CLK và D_IN để chọn giá trị đầu vào 
từ các chân CH0, CH1, CH2, CH3, CH4, CH5, CH6, CH7. Đầu ra số D_OUT được 
Phục lục 
150 
tính toán bằng công thức cho bên dưới, sau đó được chuyển đổi sang mã nhị phân 
12 bits: 
4096.
_ IN
REF
V
D OUT
V
 (6.15) 
Ở đây VIN là điện áp đầu vào tương tự và VREF là điện áp đặt, có giá trị 3,3V. 

File đính kèm:

  • pdfluan_an_xay_dung_mo_phong_thoi_gian_thuc_de_kiem_chung_cau_t.pdf
  • pdf1. Thông tin mới của Luận án - Tiếng Việt.pdf
  • pdf2. Thông tin mới của Luận án - Tiếng Anh.pdf
  • pdf3. Bìa luận án.pdf
  • pdf5 Bìa tóm tắt Luận án.pdf
  • pdf6. Tóm tắt Luận án.pdf